일본과 해외의 조사회사나 출판사로부터 출판된 산업 조사 보고서 및 데이터 판매 · 연간 서비스 · 맞춤 정보 제공 ChosaReport-Korea 주식회사 SEMABIZ

포토리소그래피 (Photolithography) 재료 리포트 2023년

조사회사 : TECHCET   조사년월 : 2023년05월

Photolithography Materials
포토 리소그래피 재료 보고서 2023 년 : 리소그래피 재료, 포토 레지스트, 포토 레지스트 부속품
Lithography Materials Market Report – 2023-2024 Critical Materials Report™

페이지수수 133 ()
도표수 67
가격(기본 라이센스) US$8,900.00
구성 영문조사보고서

Chapter 7(Supplier Profiles)의 페이지 수는 포함되지 않습니다.

리포트목차    주문/문의    납기/라이센스안내

 

TECHCET「포토 리소그래피 재료 보고서 2023 년 : 리소그래피 재료, 포토 레지스트, 포토 레지스트 부속품 – Photolithography Materials」는 반도체용 리소그래피 재료 시장을 조사해, 특히 포토레지스트, 부속품(안실러리), 확장 재료의 기술 및 시장을 상세하게 분석·해설하고 있습니다.

주요 게재 내용 (목차에서 발췌)

  1. 이그제큐티브 요약
  2. 조사 범위, 목표, 방법론
  3. 반도체 산업 시장 전망
    1. 세계 경제
    2. 전자 제품 세그먼트별 칩 판매
    3. 반도체 제조 성장 및 확대
    4. 정책 및 무역 동향 및 영향
    5. 반도체 재료 전망
  4. 포토레지스트
    1. 시장의 매크로 동향
    2. 포토레지스트 수익 예측
    3. 포토레지스트 시장 점유율
    4. 포토레지스트 기술 동향
    5. 지역별 동향
    6. EHS(환경·노동 안전 보건) 문제
    7. 포토레지스트 세그먼트 평가
  5. 부속품 및 확장 시장 세그먼트
    1. 부속품 시장 환경
    2. 부속품 예측
    3. 확장 소재의 주요 공급업체
    4. 확장 소재 예측
    5. 부속품 및 확장 소재 기술
    6. 부속품 공급 환경(비포토레지스트 제조업체)
    7. 부속품 및 확장 소재 평가
  6. 공급망의 신규 참가자
    1. 공급 체인: LAM RESEARCH
    2. 공급 체인: DONGJIN SEMICHEM
    3. 서브티어 공급망: 디스럽션
    4. 공급 체인 가격 동향
    5. 참고 자료
  7. 공급자 정보

Overview

This report covers the Photolithography materials market and supply chain for those materials used in semiconductor device fabrication. The report contains data and analysis from TECHCET’s database and Sr. Analyst experience, as well as that developed from primary and secondary market research. This Critical Materials Report™ (CMR) provides focused information for supply-chain managers, process integration and R&D directors, as well as business development managers, and financial analysts. The report covers information about key suppliers, issues/trends in the material supply chain, estimates on supplier market share, and forecast for the material segments.

  • Focuses on the markets for lithography materials, covering photoresists, extension, and ancillary materials
  • Provides focused information for electronics supply-chain managers, process integration and R&D directors, as well as business development and financial analysts
  • Covers information about key lithography materials suppliers, issues/trends in the lithography materials supply chain, estimates on supplier market share, and lithography materials market forecasting
  • Technological trend analysis, details on the supply-chain for these specialized lithography materials are discussed
  • Single User License – provides 1 portal access login to techcet.com for one person, using 2FA (two-factor authentication). The 1 user has freedom to use any of the data in the purchased report for internal or external presentations, with proper copyright attribution.*

Analyst Biography

Karey Holland, Ph.D. is Chief Strategist and Co-Founder of TECHCET.

Dr Holland has specialized in advanced semiconductor transistor fabrication, including photolithography, CMP, ALD & CVD, metrology, and interconnect technologies for over 30 years. She was CTO of Revasum, Strategic Technical Marketing Manager at Edwards Vacuum, VP Process Technology at MegaFluid Systems, CTO of start-up NexPlanar, strategic marketing senior manager at FEI, on the Board of Directors at Nova Measuring Instruments, VP of technology at CMP pad supplier Thomas West, and CTO and VP of process technology at CMP OEM IPEC/SpeedFam-IPEC. Prior to IPEC, Dr. Holland was manager of manufacturing planning for
Motorola’s Microprocessor and Memory Technology Group. Her career began in process engineering at IBM. There, she was the manager of the first DUV-248nm lithography technology development team. Dr. Holland also worked on interconnect integration for 4 and 16 Mb DRAMs, which were the first chips in the world to use tungsten plugs and CMP for interconnect dielectrics.

She holds a Ph.D. in analytical chemistry from Pennsylvania State University, a M.S. in analytical chemistry from Purdue University, and a B.A. in chemistry from Albion College.


목차

1 EXECUTIVE SUMMARY 9

1.1 MARKET TRENDS IMPACTING LITHOGRAPHY  10
1.2 TECHNICAL TRENDS IMPACTING LITHOGRAPHY  12
1.3 PHOTORESIST REVENUE 5-YEAR FORECAST  13
1.3.1 ANCILLARY AND EXTENSION REVENUE 5-YEAR FORECAST 14
1.4 YEAR 2021 IN REVIEW  15
1.5 MARKET TRENDS IMPACTING LITHOGRAPHY MATERIALS OUTLOOK  16
1.6 COMPETITIVE LANDSCAPE 19
1.7  EHS ISSUES/CONCERNS  21
1.8  ANALYST ASSESSMENT  22

2 SCOPE, PURPOSE AND METHODOLOGY  24

2.1 PURPOSE  25
2.2 METHODOLOGY  26
2.3 OVERVIEW OF OTHER TECHCET CMR™ REPORTS  27

3 SEMICONDUCTOR INDUSTRY MARKET STATUS & OUTLOOK  28

3.1 WORLDWIDE ECONOMY  29
3.1.1  SEMICONDUCTOR INDUSTRIES TIES TO THE GLOBAL ECONOMY  31
3.1.2 SEMICONDUCTOR SALES GROWTH 32
3.1.3 TAIWAN MONTHLY SALES TRENDS 33
3.2 ELECTRONIC GOODS MARKET  34
3.2.1 SMARTPHONES  35
3.2.2 PC UNIT SHIPMENTS  36
3.2.2.1 ELECTRIC VEHICLE (EV) MARKET TRENDS 37
3.2.2.2 INCREASE IN SEMICONDUCTOR CONTENT FOR AUTOS 38
3.2.3  SERVERS / IT MARKET 39
3.3 SEMICONDUCTOR FABRICATION GROWTH & EXPANSION  40
3.3.1 FAB EXPANSION ANNOUNCEMENT SUMMARY 41
3.3.2 WW FAB EXPANSION DRIVING GROWTH  42
3.3.3 EQUIPMENT SPENDING TRENDS 43
3.3.4 TECHNOLOGY ROADMAPS 44
3.3.5 FAB INVESTMENT ASSESSMENT 45
3.4 POLICY & TRADE TRENDS AND IMPACT  46
3.4.1 POLICY AND TRADE ISSUES 47
3.5 SEMICONDUCTOR MATERIALS OUTLOOK  48
3.5.1 COULD MATERIALS CAPACITY LIMIT CHIP PRODUCTION SCHEDULES? 49
3.5.2 LOGISTICS ISSUES PLAGUE THE WESTERN WORLD, CONTINUED  50
3.5.3 TECHCET WAFER STARTS FORECAST THROUGH 2026 51
3.5.4  TECHCET WAFER START FORECAST 52
3.5.5 TECHCET’S MATERIALS FORECAST  53

4 PHOTORESIST SEGMENT  54

4.1  MARKET MACRO TRENDS  55
4.2 PHOTORESIST REVENUE FORECAST  56
4.2.1 EUV PHOTORESIST– MARKET OVERVIEW 57
4.2.2 ARF & ARFI– MARKET OVERVIEW 58
4.2.3 (KRF PHOTORESIST)– MARKET OVERVIEW 59
4.2.4 (G&I LINE)– MARKET OVERVIEW 60
4.3 PHOTORESIST MARKET SHARES  61
4.3.1 PHOTORESIST SUPPLIER REFLECTION 62
4.3.2 SUPPLIERS PHOTORESIST  63
4.3.2.1 DUPONT SUPPLY CAPACITY AND DEMAND, INVESTMENTS 64
4.3.2.2 DONGJIN SUPPLY CAPACITY AND DEMAND, INVESTMENTS 65
4.3.2.3 FUJIFILM SUPPLY CAPACITY AND DEMAND, INVESTMENTS 66
4.3.2.4 JSR SUPPLY CAPACITY AND DEMAND, INVESTMENTS 67
4.3.2.5 MERCK KGAA, EMD ELECTRONICS SUPPLY CAPACITY AND DEMAND, INVESTMENTS 68
4.3.2.6 (SHIN-ETSU) SUPPLY CAPACITY AND DEMAND, INVESTMENTS 69
4.3.2.7 SUMITOMO SUPPLY CAPACITY AND DEMAND, INVESTMENTS 70
4.3.2.8 SUB-TIER SUPPLY-CHAIN “NEW” ENTRANTS 71
4.4 PHOTORESIST TECHNOLOGY 72
4.4.1  PATTERNING TECHNOLOGY TRENDS 73
4.4.2  PRODUCTION LAYERS BY LITHOGRAPHIC EXPOSURE TYPE 74
4.4.3  DEVICE TECHNOLOGY TRENDS (EASING LITHOGRAPHY REQUIREMENTS) 75
4.4.4 PHOTORESIST TECHNOLOGY TRENDS (PLATFORM TRANSITIONS) 77
4.4.4.1 THE EVOLUTION  (A LITHO MATERIALS PERSPECTIVE): POLYMER PLATFORM TRANSITION AS WELL AS A DEVELOPER TRANSITION @ EUV  78
4.4.5  PATTERNING TRENDS 79
4.4.5.1 PATTERNING TECHNOLOGY TRENDS 80
4.4.5.2 81
4.4.6  KEY MATERIAL (MACRO)TECHNOLOGY TRENDS (PATTERNING MATERIAL TRANSITIONS TO WATCH) 82
4.5 REGIONAL TRENDS  83
4.6 EHS ISSUES  85
4.7 ASSESSMENT OF PHOTORESIST SEGMENT  86

5 ANCILLARY AND EXTENSIONS MARKET SEGMENT  89

5.1 MARKET LANDSCAPE FOR ANCILLARIES  90
5.2 ANCILLARY FORECASTS  91
5.2.1 ANCILLARIES (EBR, DEVELOPER, ETC.) VOLUMES FORECAST 92
5.2.2 ANCILLARIES (EBR AND PREWET) REVENUE FORECAST 93
5.2.3 ANCILLARIES (EBR AND PREWET) VOLUMES FORECAST 94
5.2.4 ANCILLARIES (NTD DEVELOPER AND RINSE) REVENUES FORECAST 95
5.2.5 ANCILLARIES (NTD DEVELOPER AND RINSE)VOLUMES FORECAST 96
5.2.6 ANCILLARIES (PTD DEVELOPER) REVENUE FORECAST 97
5.2.7 ANCILLARIES (PTD DEVELOPER)VOLUMES FORECAST 98
5.3 KEY SUPPLIERS OF EXTENSION MATERIALS  99
5.3.1 SELECT EXTENSION AND ANCILLARY SUPPLIERS 100
5.4 EXTENSION MATERIALS FORECASTS  101
5.4.1 EXTENSIONS (BOTTOM COATINGS) REVENUE FORECAST 102
5.4.2 EXTENSIONS (BOTTOM COATINGS) VOLUMES FORECAST 103
5.4.3 EXTENSIONS (SI BOTTOM ANTIREFLECTIVE COATINGS) REVENUE FORECAST 104
5.4.4 EXTENSIONS (SI BOTTOM ANTIREFLECTIVE COATINGS) VOLUMES FORECAST 105
5.4.5 EXTENSIONS (KRF BOTTOM ANTIREFLECTIVE COATINGS) REVENUE FORECAST 106
5.4.6 EXTENSIONS (KRF BOTTOM ANTIREFLECTIVE COATINGS) VOLUMES FORECAST 107
5.4.7 EXTENSIONS (SOC BOTTOM ANTIREFLECTIVE COATINGS) REVENUE FORECAST 108
5.4.8 EXTENSIONS (SOC BOTTOM ANTIREFLECTIVE COATINGS) VOLUMES FORECAST 109
5.4.9 EXTENSIONS (ARF BOTTOM ANTIREFLECTIVE COATINGS) REVENUE FORECAST 110
5.4.10 EXTENSIONS (ARF BOTTOM ANTIREFLECTIVE COATINGS) VOLUMES FORECAST 111
5.5 ANCILLARY AND EXTENSION MATERIALS TECHNOLOGIES  112
5.5.1 MATERIAL CHANGES DRIVEN BY NEW PROCESSES (193NM IMMERSION TO EUV) 113
5.5.2 THE DEVELOPER TRANSITION 114
5.5.3 SOLVENT IMPACT: TRANSITION FROM POSITIVE PHOTORESIST TO NEGATIVE PHOTORESIST 115
5.6 ANCILLARY SUPPLY LANDSCAPE (NON-PHOTORESIST MAKERS)  116
5.7 ANCILLARY AND EXTENSION MATERIALS ASSESSMENT  117
5.7.1 ANALYST ASSESSMENT (ANCILLARIES) 118
5.7.2 ANALYST ASSESSMENT (EXTENSIONS) 119

6  SUPPLY-CHAIN “NEW” ENTRANTS  120

6.1 SUPPLY-CHAIN “NEW” ENTRANTS- LAM RESEARCH  121
6.2 SUPPLY-CHAIN “NEW” ENTRANTS- DONGJIN SEMICHEM  122
6.3 SUPPLY-CHAIN: DISRUPTIONS  123
6.4 SUB-TIER SUPPLY-CHAIN: DISRUPTIONS 124
6.5 SUPPLY-CHAIN PRICING TRENDS  125
6.6 REFERENCES  126

7 SUPPLIER PROFILES 129

AVANTOR
BASF
BREWER SCIENCE
CHANG CHUN PETROCHEMICAL
DONGJIN CHEMICAL
And More…

FIGURES & TABLES

FIGURE 1: PHOTORESIST REVENUE FORECAST 13
FIGURE 2: TOTAL ANCILLARY AND EXTENSION REVENUE FORECAST 14
FIGURE 3: BOTTOM ANTI-REFLECTIVE COATINGS (Barcs) EXAMPLE 18
FIGURE 4: MARKET SHARES OF TOP 3 PHOTORESIST COMPANIES 19
FIGURE 5: ASML EUV SYSTEM BEAM PATH NXE: 3400B 22
FIGURE 6: GLOBAL ECONOMY AND THE ELECTRONICS SUPPLY CHAIN (2021) 31
FIGURE 7: WORLDWIDE SEMICONDUCTOR SALES  32
FIGURE 8: TECHCET’S TAIWAN SEMICONDUCTOR INDUSTRY INDEX* 33
FIGURE 9: SEMICONDUCTOR CHIP APPLICATIONS 34
FIGURE 10: MOBILE PHONE SHIPMENTS WW ESTIMATES  35
FIGURE 11: WORLDWIDE PC AND TABLET FORECAST, 2021, Q3 36
FIGURE 12: GLOBAL EV TRENDS 37
FIGURE 13: SEMICONDUCTOR SPEND PER VEHICLE TYPE 38
FIGURE 14: TSMC CONSTRUCTION SITE IN ARIZONA 40
FIGURE 15: CHIP EXPANSIONS 2021-2026 > US$460 B 41
FIGURE 16: SEMICONDUCTOR CHIP MANUFACTURING REGIONS OF THE WORLD 42
FIGURE 17: 3-MONTH AVERAGE SEMICONDUCTOR EQUIPMENT BILLINGS 43
FIGURE 18: OVERVIEW OF DEVICE TECHNOLOGY ROADMAP 44
FIGURE 19: EUROPE CHIP EXPANSION UPSIDE 49
FIGURE 20: TECHCET WAFER START FORECAST BY NODE 51
FIGURE 21: TECHCET WAFER START FORECAST BY NODE 52
FIGURE 22: GLOBAL SEMICONDUCTOR MATERIALS OUTLOOK 53
FIGURE 23: PHOTORESIST REVENUE FORECAST 56
FIGURE 24: EUV PHOTORESIST REVENUE FORECAST 57
FIGURE 25: EUV PHOTORESIST VOLUME FORECAST 57
FIGURE 26: ARF PHOTORESIST REVENUE FORECAST 58
FIGURE 27: ARF PHOTORESIST VOLUME FORECAST 58
FIGURE 28: KRF PHOTORESIST REVENUE FORECAST 59
FIGURE 29: KRF PHOTORESIST VOLUME FORECAST 59
FIGURE 30: G&I PHOTORESIST REVENUE FORECAST 60
FIGURE 31: G&I PHOTORESIST VOLUME FORECAST 60
FIGURE 32: 2021 PHOTORESIST MARKET SHARES ESTIMATES (% OF WW REVENUES)  61
FIGURE 33: GENERAL SCHEMATIC OF LITHO EXPOSURES BY DEVICE TYPE 74
FIGURE 34: NAND SCALING 75
FIGURE 35: SCANNER TECHNOLOGY TRENDS ARF TO EUV 76
FIGURE 36: EVOLUTION OF PHOTORESIST CHEMISTRY 78
FIGURE 37: NANO IMPRINT LITHOGRAPHY:  80
FIGURE 38: CONVENTIONAL AND SELECTIVE DIRECTED SELF-ASSEMBLY 81
FIGURE 39: ANCILLARY REVENUES FORECAST 91
FIGURE 40: ANCILLARY VOLUME FORECAST 92
FIGURE 41: EBR AND PREWET REVENUE FORECAST 93
FIGURE 42: EBR AND PREWET VOLUME FORECAST 94
FIGURE 43: NTD CHEMICALS REVENUE FORECAST 95
FIGURE 44: NTD CHEMICALS VOLUME FORECAST 96
FIGURE 45: PTD REVENUE FORECAST 97
FIGURE 46: PTD VOLUME FORECAST 98
FIGURE 47: EXTENSION MATERIALS REVENUE FORECAST 102
FIGURE 48: EXTENSION VOLUME FORECAST 103
FIGURE 49: SI BARC REVENUE FORECAST 104
FIGURE 50: SI BARC VOLUME FORECAST 105
FIGURE 51: KRF BARC REVENUE FORECAST 106
FIGURE 52: KRF BARC VOLUME FORECAST 107
FIGURE 53: SOC REVENUE FORECAST 108
FIGURE 54: SOC VOLUME FORECAST 109
FIGURE 55: ARF BARC REVENUE FORECAST 110
FIGURE 56: ARF BARC VOLUME FORECAST 111
FIGURE 57: DEVELOPER TRANSITION 114
FIGURE 58: SOLVENT IMPACT FOR POSITIVE VS. NEGATIVE PHOTORESIST 115
FIGURE 59: LAM RESEARCH DRY RESIST 121

TABLES

TABLE 1: GLOBAL GDP AND SEMICONDUCTOR REVENUES* 29
TABLE 2: IMF ECONOMIC OUTLOOK*  30
TABLE 3: DATA CENTER SYSTEMS AND COMMUNICATION SERVICES FORECAST 2021 39
TABLE 4:  REGIONAL SEMICONDUCTOR TRENDS 83
TABLE 5: REGIONAL LITHOGRAPHY MATERIALS SUPPLIER EXPANSIONS 84
TABLE 6:  SOLVENT SUPPLIERS 90
TABLE 7: KEY SUPPLIERS OF EXTENSION MATERIALS 99
TABLE 8: ANCILLARY SUPPLIER LANDSCAPE 116


[보도 자료]

Semiconductor Lithography Materials Trending Upwards

2023 economic slowdown to cause a short-term dip on photoresists & ancillaries

San Diego, CA, March 7, 2023:  TECHCET— the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is forecasting the Semiconductor Lithography materials market to dip slightly, declining -2% in 2023, which follows the downward trends of the overall semiconductor market. This slowdown is expected to be short-lived, as 2024 forecasts indicate 9.4% growth over 2023, as highlighted in TECHCET’s most recent update to the Lithography Materials Critical Materials Report™.. The Lithography materials segment, which includes photoresists and ancillaries (developers, ARCs, BARCs, EBRs, etc.), is estimated to grow 4.9% CAGR (2022-2027). The Ancillary materials segment alone is estimated to grow at a 5.1% CAGR over the same period.

 

포토 리소그래피 재료 보고서 2023 년 : 리소그래피 재료, 포토 레지스트, 포토 레지스트 부속품

The fastest growing lithographic materials continue to be EUV and thick KrF photoresists, both of which are driven by the introduction of new technologies: advanced Logic (EUV) and memory (DUV). Metal oxide (MOX) negative tone EUV resists will also gain traction due to performance capabilities. Older technologies like G & I line are expected to also show growth in proportion to wafer starts, whereas the leading-edge materials will grow at a rate dependent on number of layers for different device types and technology nodes.

Advanced device processes will impact material usage as follows:

  • Advanced logic devices will continue to require an increased number of photolithography steps per wafer start, driving for more EUV and increased 193nm (ArF) layers. However, many of the current immersion 193nm (i193nm) process steps are likely to be replaced by EUV, & i193nm related lithography materials will also decline.
  • At the Gate-All-Around (GAA), also called nanosheet or nanoribbon transistors nodes, backside power rail will be added which will drive even more litho steps.
  • DRAM has also started to use EUV, replacing some ArFi (i193nm) process steps. New DRAM devices are expected to increase overall litho steps per wafer.
  •  3D NAND will also continue to add more layers and stacks, increasing the overall number of all process steps, including lithography steps, per wafer.

Other significant trends impacting materials include the focus on the strengthening of in-country supply-chains and chip production. This has started to impact legacy photolithography chemical makers. For example, Chinese companies are emerging as diazo photoresist makers, while Korean lithography material makers are also gaining momentum.

For more details on the Lithography Materials market and growth trajectory, including profiles on suppliers like Avantor, BASF, Brewer Science, DuPont, JSR (Inpria), Chang Chun Petrochemical, TOK, Sumitomo, FujiFilm and more, go to: “Lithography Materials Market Report – 2023-2024 Critical Materials Report™”

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS).


    주문/문의폼

    • 리포트 제목은 자동으로 입력됩니다.

    • *항목은 필수항목입니다.

    의뢰분류*

    성함*

    회사명*

    부서명

    이메일*

    전화번호

    저희 사이트를 알게 된 경로를 가르쳐 주세요.

    문의 내용*

     

    ※개인정보보호정책은여기에서 확인 가능합니다。

    Email 문의도 받고 있습니다.
    아래 주소이며 죄송하지만 "(at)"을 "@"로 바꾸어 보내주시길 부탁드립니다.
    mooneui(at)chosareport-korea.com