일본과 해외의 조사회사나 출판사로부터 출판된 산업 조사 보고서 및 데이터 판매 · 연간 서비스 · 맞춤 정보 제공 ChosaReport-Korea 주식회사 SEMABIZ

CVD, ALD, 고도 유전체 전구체 리포트 2024년

조사회사 : TECHCET  출판년월 : 2024년06월

CVD, ALD AND ADVANCED DIELECTRIC PRECURSORS

CVD, ALD, 고도 유전체 전구체 보고서 2024년: 공급망 및 시장 분석
CVD, ALD AND ADVANCED DIELECTRIC PRECURSORS: SUPPLY-CHAIN & MARKET ANALYSIS

구성 영문조사보고서
페이지 수 133
도표 수 86
가격(사이트 라이센스) USD 8,900

주문/문의    조사회사/라이센스/납기안내

TECHCET「CVD, ALD, 고도 유전체 전구체 보고서 2024년: 공급망 및 시장 분석 – CVD, ALD AND ADVANCED DIELECTRIC PRECURSORS: SUPPLY-CHAIN & MARKET ANALYSIS」는 반도체 디바이스 제조에 사용되는 전구체(프리카서), 특히 화학 기상 성장(CVD)/원자층 증착법(ALD)/SOD 전구체 시장을 조사하고 시장 상황과 공급망을 조사하고 있습니다. . 또한 재료 공급망의 도전과 동향, 공급업체의 시장 점유율 평가, 재료 세그먼트 예측 등 유전체 전구체 관련 주요 공급업체 정보를 제공합니다.

이 보고서의 특징

  • 무기 전구체(무기 프리커서) 및 유기 전구체(유기 프리커서)) 관련 시장 및 기술 동향에 대한 정보 제공. ILDs & Low-k 절연체, 하드 마스크, 측벽 스페이서, 에치 스톱층을 포함한 CVD, ALD, SOD 용도에 대해서도 설명.
  • 공급망 관리자, 생산 통합, R&D 관리자, 비즈니스 개발, 재무 분석가에 대한 정보
  • 주요 공급업체, 재료 공급 문제/동향, 공급업체 시장 점유율 평가, 재료 시장 예측

주요 게재 내용

  • 이그제큐티브 요약
  • 범위, 목적, 조사 기법
  • 반도체 산업의 시장 상황과 전망
    • 세계경제와 전망
    • 전자 제품 세그먼트별 칩 매출
    • 반도체 제조의 성장과 확대
    • 정책 및 무역 동향과 영향
    • 반도체 재료 전망
  • 재료 시장 동향
    • CVD, ALD 금속 & High-K, 고도 유전체 전구체 시장 동향
    • 가격 동향
    • 기술 동향/기술적 촉진 요인 – 개요
    • EHS 및 무역/물류 문제 – 금속, High-K, 유전체
    • 유전체 시장 동향: 분석가의 평가
  • 공급측 시황
    • 전구체 재료 시장 점유율
    • M&A 활동과 제휴
    • 공장 폐쇄
    • 신규 참가자
    • 중단 위기에 처한 공급업체 및 부품/제품 라인
    • TECHCET 분석가의 전구체 공급자 평가
  • 서브 티어 재료 공급 체인: 전구체
  • 공급자 정보

CVD, ALD, 고도 유전체 전구체 리포트 2024년

Report Overview

This report covers the market landscape and supply-chain for Precursors used in semiconductor device fabrication. It includes information about key suppliers, issues/trends in the material supply chain, estimates on supplier market share, and forecast for the material segments.

  • Provides market and technical trend information on organic and inorganic precursors, addressing CVD, ALD, and SOD applications including ILDs & low-κ dielectrics, hard masks, sidewall spacers and etch stop layers
  • Provides focused information for supply-chain managers, process integration and R&D directors, as well as business development and financial analysts
  • Covers information about key dielectric precursor suppliers, issues/trends in the material supply chain, estimates on supplier market share, and forecast for the electronics material segments

Analyst

Jonas Sundqvist, Ph.D., Senior Technology Analyst

Senior Analyst for CVD, ALD Dielectric Precursors and Deposition and Etch Equipment

Jonas Sundqvist is an accomplished professional with a background in inorganic chemistry and semiconductor wafer processing. He received his PhD from Uppsala University and has made significant contributions in the field of thin film deposition processes. Dr. Sundqvist’s expertise spans research, development, and practical applications in the semiconductor industry, including Etch, Epi, ALD and CVD processes, market insights, and co-founding AlixLabs, a company focused on innovative Atomic Layer Etch technology solutions

In 2003, he joined Infineon Memory Development Centre (MDC) as a process engineer, focusing on high-k and metal nitride ALD processes. Later, at Qimonda (2006), he was part of the Materials Management team, responsible for precursor procurement and acquisition, with a specific emphasis on ALD precursors for DRAM development and production. In 2009, Dr. Sundqvist joined Fraunhofer CNT as a group leader and expert in thin film deposition, emphasizing high-k dielectrics and electrode materials for Memory and CMOS. CEO of AlixLabs since 2020.

Degree in electrical engineering (Lars Kagg, Sweden), Master in Inorganic Chemistry & PhD in Inorganic Chemistry (Uppsala University, Sweden), Adjunct Associate Professor in
Inorganic Chemistry (Linköping University, Sweden)


Table of Contents

1 Executive Summary 11
1.1 PRECURSORS BUSINESS – MARKET OVERVIEW 12
1.2 PRECURSORS MARKET TRENDS IMPACTING 2024 OUTLOOK 13
1.3 5-YEAR UNIT SHIPMENT FORECAST BY SEGMENT: DIELECTRIC PRECURSORS 14
1.4 PRECURSOR TRENDS 15
1.5 PRECURSOR TECHNOLOGY TRENDS 16
1.6 COMPETITIVE LANDSCAPE DIELECTRIC PRECURSORS 17
1.7 ANALYST ASSESSMENT OF DIELECTRIC PRECURSORS 18

2 Scope, Purpose, and Methodology 19
2.1 SCOPE 20
2.2 METHODOLOGY 21
2.3 OVERVIEW OF OTHER TECHCET CMR™ OFFERINGS 22

3 Semiconductor Industry Market Status & Outlook 23
3.1 WORLDWIDE ECONOMY AND OUTLOOK 24
3.1.1 SEMICONDUCTOR INDUSTRIES TIES TO THE GLOBAL ECONOMY 26
3.1.2 SEMICONDUCTOR SALES GROWTH 27
3.1.3 TAIWAN OUTSOURCE MANUFACTURER MONTHLY SALES TRENDS 28
3.2 CHIPS SALES BY ELECTRONIC GOODS SEGMENT 29
3.2.1 ELECTRONICS OUTLOOK 30
3.2.2 AUTOMOTIVE INDUSTRY OUTLOOK 31
3.2.2.1 ELECTRIC VEHICLE (EV) MARKET TRENDS 32
3.2.2.2 INCREASE IN SEMICONDUCTOR CONTENT FOR AUTOS 33
3.2.3 SMARTPHONE OUTLOOK 34
3.2.4 PC OUTLOOK 35
3.2.5 SERVERS / IT MARKET 36
3.3 SEMICONDUCTOR FABRICATION GROWTH & EXPANSION 37
3.3.1 IN THE MIDST OF HUGE INVESTMENT IN CHIP EXPANSIONS 38
3.3.2 NEW FABS IN THE US 39
3.3.3 WW FAB EXPANSION DRIVING GROWTH 40
3.3.4 EQUIPMENT SPENDING TRENDS 41
3.3.5 ADVANCED LOGIC TECHNOLOGY ROADMAPS 42
3.3.5.1 DRAM TECHNOLOGY ROADMAPS 43
3.3.5.2 3D NAND TECHNOLOGY ROADMAPS 44
3.3.6 FAB INVESTMENT ASSESSMENT 45
3.4 POLICY & TRADE TRENDS AND IMPACT 46
3.5 SEMICONDUCTOR MATERIALS OVERVIEW 47
3.5.1 TECHCET WAFER STARTS FORECAST THROUGH 2028 48
3.5.2 TECHCET MATERIALS MARKET FORECAST THROUGH 2028 49

4 Material Market Trends 50
4.1 CVD, ALD METAL & HIGH-K AND ADVANCED DIELECTRIC PRECURSORS MARKET TRENDS 51
4.1.1 2023 PRECURSOR MARKET LEADING INTO 2024 52
4.1.2 PRECURSOR MARKET OUTLOOK 53
4.1.3 DIELECTRIC PRECURSORS 5-YEAR UNIT SHIPMENT FORECAST BY SEGMENT 54
4.1.4 DIELECTRIC PRODUCTION OF TOP SUPPLIERS 55
4.1.5 DIELECTRIC PRODUCTION BY REGION 56
4.1.6 ALD/CVD MATERIAL PRODUCTION CAPACITY EXPANSIONS 57
4.1.7 INVESTMENT ANNOUNCEMENTS OVERVIEW 59
4.2 PRICING TRENDS 60
4.3 TECHNOLOGY TRENDS/TECHNICAL DRIVERS – OUTLINE 61
4.3.1 PRECURSOR GENERAL TECHNOLOGY OVERVIEW & TECHNOLOGY TRENDS 62
4.3.2 CUSTOMER DRIVEN TECHNOLOGIES 63
4.3.3 NAND ROADMAPS AND CHALLENGES – 3D NAND LEVELS W/ STACKS/TIERS 64
4.3.4 3D NAND PROCESS ADVANCES REQUIRED 65
4.3.5 MICRON UNVEILS BREAKTHROUGH NVDRAM: A DUAL-LAYER 32GBIT NON-VOLATILE FERROELECTRIC MEMORY WITH NEAR-DRAM PERFORMANCE 66
4.3.6 ADVANCED LOGIC ROADMAPS AND CHALLENGES – LOGIC TRANSISTOR EST. ROADMAP 67
4.3.7 ADVANCED LOGIC (FOUNDRY) NODE HVM ESTIMATE 68
4.3.7.1 THE SEMICONDUCTOR SHOWDOWN: SAMSUNG AND TSMC’S GAA FETS VS. INTEL’S RIBBONFET 69
4.3.8 ADV LOGIC FUTURE TECHNOLOGY CHALLENGES 70
4.3.9 ADVANCING TECHNOLOGIES IMPLICATION TO PHOTOLITHOGRAPHY 72
4.3.9.1 ADVANCING TECHNOLOGIES IMPLICATION TO PHOTOLITHOGRAPHY – DSA 73
4.3.9.2 ADVANCING TECHNOLOGIES IMPLICATION TO PHOTOLITHOGRAPHY: CENTURA SCULPTA BY APPLIED MATERIALS: SHAPING THE FUTURE OF SEMICONDUCTOR MANUFACTURING 75
4.3.9.3 ADVANCING TECHNOLOGIES IMPLICATION TO PHOTOLITHOGRAPHY: LINE EDGE ROUGHNESS REDUCTION THRU DEPOSITION 76
4.3.10 CFET ARCHITECTURE: CFET SCALING ADVANTAGE 77
4.3.10.1 CFET ARCHITECTURE: COMPLEMENTARY FETS (CFETS) 78
4.3.10.2 CFET ARCHITECTURE: CFET FUTURE PROSPECTS 81
4.3.11 INORGANIC EUV RESIST – SPIN ON DEPOSITION 83
4.3.11.1 INORGANIC EUV RESIST – ALD DEPOSITED 84
4.3.12 SELF ALIGNED MULTI PATTERNING – SADP 85
4.3.12.1 SELF ALIGNED MULTI PATTERNING – SAQP 86
4.3.12.2 SELF ALIGNED MULTI PATTERNING – PEALD EQUIPMENT 87
4.3.12.3 SELF ALIGNED MULTI PATTERNING – CAN SAQP BYPASS EUV BEYOND 7 NM? 88
4.3.13 EUV, MULTI PATTERNING AND GEOPOLITICS 89
4.3.14 AREA SELECTIVE DEPOSITION (ASD) 90
4.3.14.1 AREA SELECTIVE DEPOSITION (ASD) – TU EINDHOVEN SELECTIVE ALD ENABLED BY PLASMA PRETREATMENT 91
4.3.15 SPECIALTY/EMERGING DIELECTRIC AND APPLICATIONS 92
4.3.16 REGIONAL CONSIDERATIONS – DIELECTRICS 93
4.3.17 REGIONAL ASPECTS AND DRIVERS 94
4.4 EHS AND TRADE/LOGISTIC ISSUES – METALS, HIGH-K AND DIELECTRICS 96
4.5 ANALYST ASSESSMENT OF DIELECTRIC MARKET TRENDS 97

LIST OF FIGURES

FIGURE 1.1: DIELECTRIC PRECURSOR REVENUE (M USD) FORECAST BY SEGMENT 14
FIGURE 1.2: WW MARKET SHARE – DIELECTRIC PRECURSORS 2023 (U$ 686 M) 17
FIGURE 3.1: GLOBAL ECONOMY AND THE ELECTRONICS SUPPLY CHAIN (2023) 26
FIGURE 3.2: WORLDWIDE SEMICONDUCTOR SALES 27
FIGURE 3.3: TECHCET’S TAIWAN SEMICONDUCTOR INDUSTRY INDEX (TTSI) 28
FIGURE 3.4: 2023 SEMICONDUCTOR CHIP APPLICATIONS 29
FIGURE 3.5: GLOBAL LIGHT VEHICLE UNIT SALES (IN MILLIONS OF UNITS) 31
FIGURE 3.6: ELECTRIFICATION TREND BY WORLD REGION 32
FIGURE 3.7: AUTOMOTIVE SEMICONDUCTOR PRODUCTION 33
FIGURE 3.8: MOBILE PHONE SHIPMENTS, WW ESTIMATES 34
FIGURE 3.9: WORLDWIDE PC AND TABLET FORECAST 35
FIGURE 3.10: TSMC PHOENIX CAMPUS WITH THE 2ND FAB VISIBLE IN THE BACKGROUND 37
FIGURE 3.11: ESTIMATED GLOBAL FAB SPENDING 2023-2028 38
FIGURE 3.12: FAB EXPANSIONS WITHIN THE US 39
FIGURE 3.13: SEMICONDUCTOR CHIP MANUFACTURING REGIONS OF THE WORLD 40
FIGURE 3.14: GLOBAL TOTAL EQUIPMENT SPENDING (US$ M) AND Y-O-Y CHANGE 41
FIGURE 3.15: ADVANCED LOGIC DEVICE TECHNOLOGY ROADMAP OVERVIEW 42
FIGURE 3.16: DRAM TECHNOLOGY ROADMAP OVERVIEW 43
FIGURE 3.17: 3D NAND TECHNOLOGY ROADMAP OVERVIEW 44
FIGURE 3.18: INTEL OHIO PLANT SITE AS OF FEB. 2024 45
FIGURE 3.19: TECHCET WAFER START FORECAST BY NODE SEGMENTS 48
FIGURE 3.20: TECHCET WORLDWIDE MATERIALS FORECAST ($M USD) 49
FIGURE 4.1: DIELECTRIC PRECURSOR REVENUE (M USD) FORECAST BY SEGMENT 54
FIGURE 4.2: WW MARKET SHARE – DIELECTRIC PRECURSORS 2023 (U$ 686 M) 55
FIGURE 4.3: DIELECTRIC PRECURSOR MARKET REGIONAL ASSESSMENT 2023 56
FIGURE 4.4: END USE APPLICATIONS DRIVING NEW DEVICE PROCESSES 63
FIGURE 4.5: 3D NAND STACKING DRIVES DIELECTRICS AND METALS PRECURSOR VOLUME 64
FIGURE 4.6: 3D NAND PROGRESSION 65
FIGURE 4.7: 32 GB NVDRAM WITH 1T 1C MEMORY LAYERS 66
FIGURE 4.8: GATE STRUCTURE ROADMAP 67
FIGURE 4.9: ADVANCED LOGIC (FOUNDRY) NODE ROAD MAP 68
FIGURE 4.10: RIBBON FET 69
FIGURE 4.11: MONO LAYER NANO SHEETS CHANNELS 71
FIGURE 4.12: NANO IMPRINT LITHOGRAPHY PROCESS FLOW 72
FIGURE 4.13: ALD/ALE ENHANCEMENT OF NANO IMPRINT LITHOGRAPHY 72
FIGURE 4.14: DIRECTED SELF-ASSEMBLY 73
FIGURE 4.15: DSA PATENT FILING BY COMPANY 74
FIGURE 4.16: DSA PATEN FILING SINCE 2023 74
FIGURE 4.17: WHAT IS PATTERN SHAPING? 75
FIGURE 4.18: REFINING EUV PATTERNING BY APPLIED MATERIALS 76
FIGURE 4.19: COMPLEMENTARY FET (CFET) 77
FIGURE 4.20: CFET IMPROVES PERFORMANCE IN TRACK SCALING 77
FIGURE 4.21: MONOLITHIC CFET PROCESS FLOW EXAMPLE 78
FIGURE 4.22: MCFET NEW FEATURE: MIDDLE DIELECTRIC ISOLATION 78
FIGURE 4.23: LOW TEMPERATURE GATE STACK OPTION EXAMPLES 79
FIGURE 4.24: LOW TEMPERATURE SD/CONTACT OPTION EXAMPLES 79
FIGURE 4.25: BSPDN ADVANTAGE: IR DROP REDUCTION 80
FIGURE 4.26: INCREASING NUMBER OF ALD STEPS REQUIRED BY NEXT GENERATION GAA-FET AND CFET 81
FIGURE 4.27: IMEC SUB-1NM TRANSISTOR ROADMAP, 3D-STACKED CMOS 2.0 PLANS 82
FIGURE 4.28: INPRIA EUV MOR 83
FIGURE 4.29: INPRIA SPIN ON INORGANIC RESIST IS MUCH THINNER THAN STANDARD STACKS OF PHOTO RESIST 83
FIGURE 4.30: PATENT FILING FOR MLD DEPOSITED EUV RESIST. SEARCH PERFORMED IN PATBASE 84
FIGURE 4.31: SADP PROCESS FLOW USING ALD SPACER 85
FIGURE 4.32: ONE OF MANY FLAVORS OF SAQP PROCESS FLOW 86
FIGURE 4.33: SELECTIVE ALD ENABLED BY PLASMA PRETREATMENT 91
FIGURE 4.34: SPECIALTY/EMERGING DIELECTRIC APPLICATIONS FOR HETEROGENOUS INTEGRATIONS (APPLIED MATERIALS) 92
FIGURE 4.35: 2023 DIELECTRIC REVENUE SHARE BY REGION 93
FIGURE 5.1: 2023 PRECURSOR MATERIAL SUPPLIER MARKET SHARE BY REVENUE 99
FIGURE 5.2: MERCK ELECTRONICS REVENUE 2022-2023 (M EUR), LEFT. SEMICONDUCTOR SOLUTIONS ANNUAL REVENUE FORECAST (M EUR), RIGHT. 102
FIGURE 5.3: AIR LIQUIDE ELECTRONICS REVENUE FORECAST (M EUR) 104
FIGURE 5.4: THE MS (MATERIAL SOLUTIONS) DIVISION OF ENTEGRIS REVENUE FORECAST 106
FIGURE 5.5: ADEKA REVENUE ELECTRONICS REVENUE FORECAST (100M JPY) 107
FIGURE 6.1: FORMING GAS BLENDER CONFIGURATION 124
FIGURE 6.2: TOP COUNTRIES/REGIONS THAT SUPPLY VERSUM MATERIALS US LLC (PANJIVA APRIL 2024) 128
FIGURE 6.3: TOP COUNTRIES/REGIONS THAT SUPPLY AIR LIQUIDE AMERICA CORP. (PANJEIVA APRIL 2024) 129
FIGURE 6.4: TOP COUNTRIES/REGIONS THAT SUPPLY H.C. STARCK INC. (USA) 130

LIST OF TABLES
TABLE 1.1: DIELECTRIC PRECURSOR REVENUES AND GROWTH RATES 14
TABLE 1.2: ESTIMATED DIELECTRIC PRECURSOR MARKET SHARE BY SUPPLIER 2023 17
TABLE 3.1: GLOBAL GDP AND SEMICONDUCTOR REVENUES 24
TABLE 3.2: WORLD BANK ECONOMIC OUTLOOK (JANUARY 2024) 25
TABLE 3.3: BATTERY ELECTRIC VEHICLE (BEV) REGIONAL TRENDS 32
TABLE 3.4: DATA CENTER SYSTEMS AND COMMUNICATION SERVICES MARKET SPENDING 2023 36
TABLE 4.1: PRECURSORS REVENUE AND GROWTH RATES 51
TABLE 4.2: DIELECTRIC PRECURSOR REVENUES AND GROWTH RATES 54
TABLE 4.3: ESTIMATED DIELECTRIC PRECURSOR MARKET SHARE BY SUPPLIER 2023 55
TABLE 4.4: DIELECTRIC PRECURSOR MARKET REGIONAL ASSESSMENT 2023 56
TABLE 4.5: OVERVIEW OF ANNOUNCED 2023/2024 MATERIAL SUPPLIER INVESTMENTS 59
TABLE 4.6: LEADING EDGE LOGIC DESCRIPTIONS BY NODE (TSMC, INTEL) 69
TABLE 4.7: MULTIPATTERNING AT 7NM BY TSMC 88
TABLE 4.8: SELECTIVE DEPOSITION – SELECTIVELY DEPOSITED MATERIALS 90
TABLE 4.9: REGIONAL PRECURSOR MATERIAL MARKETS 94
TABLE 4.10: REGIONAL PRECURSOR MATERIAL MARKETS, CONTINUED 95
TABLE 5.1: MERCK QUARTER FINANCIALS 100
TABLE 5.2: AIR LIQUIDE CURRENT QUARTER FINANCIALS 103
TABLE 5.3: ENTEGRIS SUPPLIER CURRENT QUARTER FINANCIALS 105
TABLE 6.1: CVD AND ALD PRECURSOR 127


    주문/문의폼

    • 리포트 제목은 자동으로 입력됩니다.

    • *항목은 필수항목입니다.

    의뢰분류*

    성함*

    회사명*

    부서명

    이메일*

    전화번호

    저희 사이트를 알게 된 경로를 가르쳐 주세요.

    문의 내용*

     

    ※개인정보보호정책은여기에서 확인 가능합니다。

    Email 문의도 받고 있습니다.
    아래 주소이며 죄송하지만 "(at)"을 "@"로 바꾸어 보내주시길 부탁드립니다.
    mooneui(at)chosareport-korea.com