일본과 해외의 조사회사나 출판사로부터 출판된 산업 조사 보고서 및 데이터 판매 · 연간 서비스 · 맞춤 정보 제공 ChosaReport-Korea 주식회사 SEMABIZ

CVD/ALD 금속 전구체에 대한 중요 재료 보고서 2022년

출판 : TECHCET   출판년월 : 2022년07월

2022 CRITICAL MATERIALS REPORT™ ON CVD/ALD METAL PRECURSORS
CVD/ALD 금속 전구체(메탈 프리커서) 관련 시장 정보, 기술 동향, 공급자 정보를 제공

구성 영문조사보고서
페이지수 140
도표수
가격(기본 라이센스) USD 8,900

※Chapter 8(Supplier Profiles)의 페이지수는 포함되어 있지 않습니다。

리포트목차    주문/문의    납기/라이센스안내

 

Techcet「CVD/ALD 금속 전구체에 대한 중요 재료 보고서 2022년 – 2022 CRITICAL MATERIALS REPORT™ ON CVD/ALD METAL PRECURSORSCVD/ALD」는 CVD/ALD 금속 전구체(메탈 프리커서) 관련 시장 정보, 기술 동향, 공급자 정보를 제공하고 있습니다。

주요 게시물

  • 유기 전구체 및 무기 전구체 기술 동향 정보: CVD(High-K 금속 산화물, 장벽 층, 금속 상호 연결, 캡핑 층용 ALD
  • 공급망 관리자, 프로세스 통합, R&D 관리자에 대한 정보. 비즈니스 개발 및 재정 분석가 정보 포함
  • 주요 공급업체 정보, 재료 공급망 문제 및 동향, 공급업체 시장 점유율, 재료 시장 관련 예측

목차(발췌)

  1. 이그제큐티브 요약
  2. 범위, 목적, 조사 방법
  3. 시장 전망
    1. 반도체 산업의 시장 상황과 전망
    2. 세계 경제
    3. 전자 제품 시장
    4. 자동차 판매
    5. 반도체 제조 추이와 발전
    6. 반도체 재료 전망
  4. 전구체 시장 동향
    1. 시장 동향
    2. 공급 능력과 수요, 투자
    3. 기술적 촉진 요인/재료의 변화와 전환
    4. EUV용 건식 레지스트
    5. 지역별 동향 – 금속 전구체
    6. EHS와 물류 문제
    7. 표준 실장/밸브 종류의 변화
    8. 시장 평가
  5. 시장 상황 및 예측
    1. 전구체 시장 – 실적 및 5년 예측
    2. M&A 활동
    3. 수요 및 공급 예측 – WF6(2023년까지)
    4. 수요 및 공급 예측 – WF6(2025년까지)
    5. 신규 공장
    6. 공급업체 공장 폐쇄
    7. 신규 가입자
    8. 가격 동향
    9. 경쟁 환경 – 전구체 공급업체의 시장 점유율
    10. WFE 증착 평가
  6. 서브티어 소재 공급망
  7. 공급업체 정보

Description

  • Provides market and technical trend information on organic and inorganic precursors, addressing CVD, ALD applications including high κ metal-oxides, barrier layers, metal interconnects, and capping layers, among others.
  • Provides focused information for supply-chain managers, process integration and R&D directors, as well as business development and financial analysts
  • Covers information about key suppliers, ises/trends in the material supply chain, estimates on supplier market share, and forecast for the material segments

Analyst

Jonas Sundqvist, Ph.D.

  • Sr. Technology Analyst of TECHCET— covers Electronic Gases and ALD & CVD precursors and related technologies, and the co-chair of the Annual Critical Materials Council (CMC) Conference. His over 20 years of work experience includes Group Leader of the Thin-Film Technologies Group at The Fraunhofer Institute for Ceramic Technologies and Systems (IKTS) in Germany, Clean Room Operations Manager for Lund Nano Lab, Lund University in Sweden and Group Leader of the ALD & High-k devices group at Fraunhofer’s Center Nanoelectronic Technologies (CNT) in Germany, which included 28nm node work for GLOBALFOUNDRIES Fab1.
  • Previously, at Infineon Memory Development Centre (MDC), he developed high-k and metal nitride ALD processes, and at Qimonda, he was a materials manager focused on the ALD/CVD precursors supply-chain. He holds a Ph.D. and an M.S. in inorganic chemistry from Uppsala University, Sweden & Institute for Micromanufacturing, Louisiana Teche, USA, a B.S. in electrical and electronics engineering from Lars Kagg, and nine patents and 40 related scientific publications.
  • Jonas Sundqvist is on the Scientific Committee for AVS ALD and has co-chaired ALD2016 Dublin Ireland, and the annual EFDS ALD for Industry Workshop in Germany.

[보도자료]
ALD/CVD Precursor Markets – Burgeoning Applications

Advanced Logic and Memory Applications require more deposition materials.

San Diego, CA, June 27, 2022: TECHCET—the electronic materials advisory firm providing business and technology information— reports that the Total ALD/CVD precursor market grew 21% in 2021, reaching US$1.39 billion and is forecasted to grow 12% in 2022. The 2022 Precursor market will top US$1.56 billion due to strong industry growth overall, driven by higher production volumes of < 7nm logic devices and higher increased stacking and layers in 3DNAND devices. The transition to EUV lithography for DRAM fabrication will also result in opportunities for increased precursor revenues. More details on these market trends will be revelaed in TECHCET’s presentation given at the 2022 ALD Conference, starting this week in Ghent, Belgium, by Jonas Sundqvist, Ph.D., or can be found in TECHCET’s newly released Critical Materials Reports™ on ALD/CVD Metal Precursors and Dielectric Precursors.

世界の前駆体収益 - Techcet

“ALD and CVD are a materials and chemistry rich industry segment with major development efforts in place, with strong prospects for growth, and for the need of new materials”, states Jonas Sundqvist, Sr. Technology Analyst at TECHCET. “New manufacturing solutions designed to meet both cost and performance will rely on ALD precursor materials.”

New materials and related process technologies are being driven by changes in device design. For advanced logic, new precursors are required for transistors to form high-κ gate dielectrics, metal gate electrodes, strain/stress epi of the channel and channel materials. DRAM memory cells continue pushing for higher-κ capacitors. And advanced devices, especially logic, demand improved interconnect wiring, barriers, seed layers, selective via capping and encapsulation, insulators, as well as new and/or more dielectrics to support EUV and advanced ArFi photolithography.

Emerging challenges persist as a result of continued dimensional scaling addressed with materials, especially new materials deposited by ALD. Area selective deposition has been a trend in the past 5 years with a growing R&D community to implement this approach in future devices.


목차

1 Executive Summary 11

1.1 CVD/ALD HI-K METAL PRECURSORS – MARKET OVERVIEW 12
1.2 SEGMENT REVENUE TRENDS & FORECAST 13
1.3 MARKET TRENDS IMPACTING MATERIALS SEGMENT OUTLOOK 14
1.4 YEAR 2021 IN REVIEW 16
1.5 SEGMENT 5-YEAR REVENUE FORECAST – METAL & HIGH-K 17
1.6 TECHNOLOGY TRENDS 19
1.7 COMPETITIVE LANDSCAPE 20
1.8 EHS ISSUES/CONCERNS 21
1.9 ANALYST ASSESSMENT 22

2 Scope, Purpose, and Methodology 25

2.1 SCOPE 26
2.2 PURPOSE 27
2.3 METHODOLOGY 28
2.4 OVERVIEW OF OTHER TECHCET CMR™ REPORTS 29

3 Semiconductor Industry Market Status & Outlook 30

3.1 WORLDWIDE ECONOMY 31
3.1.1 SEMICONDUCTOR INDUSTRIES TIES TO THE GLOBAL ECONOMY 33
3.1.2 SEMICONDUCTOR SALES GROWTH 34
3.1.3 TAIWAN MONTHLY SALES TRENDS 35
3.2 ELECTRONIC GOODS MARKET 36
3.2.1 SMARTPHONES 37
3.2.2 PC UNIT SHIPMENTS 38
3.2.1.1 ELECTRIC VEHICLE (EV) MARKET TRENDS 39
3.2.1.2 INCREASE IN SEMICONDUCTOR CONTENT FOR AUTOS 40
3.2.2 SERVERS / IT MARKET 41
3.3 SEMICONDUCTOR FABRICATION GROWTH & EXPANSION 42
3.3.1 FAB EXPANSION ANNOUNCEMENT SUMMARY 43
3.3.2 WW FAB EXPANSION DRIVING GROWTH 44
3.3.3 EQUIPMENT SPENDING TRENDS 45
3.3.4 TECHNOLOGY ROADMAPS 46
3.3.5 FAB INVESTMENT ASSESSMENT 47
3.4 POLICY & TRADE TRENDS AND IMPACT 48
3.4.1POLICY AND TRADE ISSUES 49
3.5 SEMICONDUCTOR MATERIALS OUTLOOK 50
3.5.1 COULD MATERIALS CAPACITY LIMIT CHIP PRODUCTION SCHEDULES? 51
3.5.2 CONTINUED LOGISTICS ISSUES PLAGUE THE WESTERN WORLD 52
3.5.3 TECHCET WAFER STARTS FORECAST THROUGH 2026 53
3.5.4 TECHCET WAFER START FORECAST 54
3.5.5 TECHCET’S MATERIALS FORECAST 55

4 Precursor Market Trends 56

4.1 MARKET TRENDS 57
4.1.1 MARKET TRENDS – WAFER STARTS 59
4.1.2 MARKET TRENDS – WAFER STARTS LOGIC 60
4.1.3 MARKET TRENDS – WAFER STARTS DRAM 61
4.1.4 MARKET TRENDS – WAFER STARTS NAND 62
4.2 SUPPLY CAPACITY AND DEMAND, INVESTMENTS 63
4.2.1 SUPPLY CAPACITY AND DEMAND, INVESTMENTS: HAFNIUM & ZIRCONIUM 65
4.2.1.1 WF6 MARKET DEMAND 66
4.2.1.2 WF6 MARKET DEMAND 67
4.2.1.3 WF6 MARKET DEMAND 68
4.2.1.4 WF6 DEMAND DRIVERS 69
4.2.1.5 WF6 MARKET DEMAND 70
4.3 TECHNICAL DRIVERS / MATERIAL CHANGES AND TRANSITIONS 71
4.3.1 GENERAL TREND LAST DECADE GOING FROM PVD & LPCVD TO PECVD 72
4.3.2 DEVICE ROADMAP 73
4.3.3 LEADING EDGE DEVICE ARCHITECTURE 74
4.3.4 LEADING EDGE LOGIC ROADMAP 75
4.3.5 DEPOSITION PROCESS BY DEVICE TYPE AND MATERIAL— AN OVERVIEW 76
4.3.5.1 DRAM DEPOSITION APPLICATIONS 77
4.3.5.2 3DNAND DEPOSITION APPLICATIONS 78
4.3.5.3 LOGIC DEPOSITION APPLICATIONS 79
4.3.5.4 ALD PRECURSORS FOR SPACE DEFINED MULTI-PATTERNING 80
4.3.5.5 SUMMARY OF DEVICE SEGMENT TRENDS AND OPPORTUNITIES 81
4.3.6 AN OVERVIEW OF SILICON CARBIDE AND GALLIUM NITRIDE 82
4.3.6.1 AN OVERVIEW OF SILICON CARBIDE AND GALLIUM NITRIDE 83
4.3.6.2 AN OVERVIEW OF SILICON CARBIDE AND GALLIUM NITRIDE 84
4.3.6.3 AN OVERVIEW OF SILICON CARBIDE AND GALLIUM NITRIDE 85
4.3.7 AN OVERVIEW OF SILICON CARBIDE AND GALLIUM NITRIDE (MOCVD) 86
4.3.7.1 AN OVERVIEW OF SILICON CARBIDE AND GALLIUM NITRIDE (MOCVD) 87
4.3.7.2 AN OVERVIEW OF SILICON CARBIDE AND GALLIUM NITRIDE (MOCVD) 88
4.4. DRY RESIST FOR EUV 89
4.5 REGIONAL TRENDS – METAL PRECURSORS 90
4.5.1 REGIONAL TRENDS – METAL PRECURSORS 91
4.5.2 REGIONAL TRENDS – TOTAL PRECURSOR MARKET 92
4.5.3 REGIONAL TRENDS AND DRIVERS 93
4.6 EHS AND LOGISTIC ISSUES 95
4.6.1 EHS AND LOGISTIC ISSUES – ZIRCONIUM AND HAFNIUM 96
4.6.2 EHS AND LOGISTIC ISSUES – TITANIUM 98
4.6.3 EHS AND LOGISTIC ISSUES – TUNGSTEN 99
4.6.4 EHS AND LOGISTIC ISSUES – COBALT 101
4.6.5 EHS AND LOGISTIC ISSUES – RUTHENIUM 103
4.6.6 EHS AND LOGISTIC ISSUES – GREEN HOUSE GASES FROM
LOGIC PRODUCTION 105
4.7 CHANGES IN STANDARD PACKAGING/VALVE TYPES 106
4.8 MARKET ASSESSMENT 107

5 Market Segment Statistics & Forecast 108

5.1 PRECURSOR MARKET – HISTORICAL AND 5-YEAR FORECAST 109
5.1.1 CVD/ALD METAL & HIGH-K PRECURSOR REVENUE 2020 TO 2026 110
5.1.2 CVD/ALD METAL PRECURSOR REVENUE 2020 TO 2026 (M USD) 111
5.1.3 ALD HIGH-K PRECURSOR REVENUE 2020 TO 2026 (MUSD) 112
5.1.4 ASSESSMENT- METAL & HIGH-K 113
5.2 M&A ACTIVITIES 114
5.3 SUPPLY-DEMAND FORECAST – WF6 (UNTIL 2023) 115
5.4 SUPPLY-DEMAND FORECAST – WF6 (UNTIL 2025) 116
5.5 NEW PLANTS 117
5.6 SUPPLIER PLANT CLOSURES 118
5.7 NEW ENTRANTS – EUROPE CHINA ELECTRONIC MATERIALS (CHINA) 119
5.7.1 NEW ENTRANTS – NATA CHEMICALS 120
5.8 PRICING TRENDS 121
5.9 COMPETITIVE LANDSCAPE — PRECURSOR SUPPLIER MARKET SHARE 122
5.9.1 COMPETITIVE LANDSCAPE — PRECURSOR MARKET BY REGION 123
5.9.2 COMPETITIVE LANDSCAPE — PROCESS TOOLS 124
5.9.3 COMPETITIVE LANDSCAPE — PROCESS TOOL FORECAST GROWTH 125
5.9.4 LONG TERM AND 5-YEAR WAFER EQUIPMENT FORECAST 126
5.9.5 PROCESS TOOLS SEGMENTED BY DEPOSITION METHOD 127
5.10 WFE DEPOSITION ASSESSMENT 128

6 Sub Tier Material Supply Chain 129

6.1 SUB-TIER SUPPLY-CHAIN: INTRODUCTION 130
6.1.1 SUB-TIER SUPPLY-CHAIN: DISRUPTIONS 131
6.1.2 SUB-TIER SUPPLY-CHAIN: TUNGSTEN DISRUPTIONS 132
6.2 SUB-TIER SUPPLY-CHAIN M&A ACTIVITY 134
6.3 SUB-TIER SUPPLY-CHAIN EHS AND LOGISTICS ISSUES 135
6.4 SUB-TIER SUPPLY-CHAIN “NEW” ENTRANTS 137
6.5 SUB-TIER SUPPLY-CHAIN PLANTS UPDATES-NEW 138
6.6 SUB-TIER SUPPLY-CHAIN PRICING TRENDS 139
6.7 SUB-TIER SUPPLY-CHAIN TECHCET ANALYST ASSESSMENT 140

7 Supplier profiles 140

ADEKA Corporation
Air Liquide
AZmax Co., Ltd
DNF Co., Ltd
Entegris
Epivalence
Gelest – Mitsubishi Chemicals
Hansol Chemical
H.C. Starck
Kojundo Chemical Laboratory
…and many more

LIST OF FIGURES

FIGURE 1: TOTAL PRECURSOR MARKET (M USD) 13
FIGURE 2: TOTAL PRECURSOR MARKET (M USD) 16
FIGURE 3: CVD/ALD METAL & HIGH-K PRECURSOR REVENUE
2020 TO 2026 (MUSD) 17
FIGURE 4: GLOBAL ADVANCED PRECURSOR MARKET 2021 (USD) 20
FIGURE 5: GLOBAL ECONOMY AND THE ELECTRONICS SUPPLY CHAIN(2021) 33
FIGURE 6: WORLDWIDE SEMICONDUCTOR SALES 34
FIGURE 7: TECHCET’S TAIWAN SEMICONDUCTOR INDUSTRY INDEX* 35
FIGURE 8: 2021 CHIP REVENUE 36
FIGURE 9: MOBILE PHONE SHIPMENTS WW ESTIMATES 37
FIGURE 10: WORLDWIDE PC AND TABLET FORECAST, 2021, Q3 38
FIGURE 11: GLOBAL EV TRENDS 39
FIGURE 12: SEMICONDUCTOR SPEND PER VEHICLE TYPE 40
FIGURE 13: TSMC CONSTRUCTION SITE IN ARIZONA. 42
FIGURE 14: CHIP EXPANSIONS 2021-2026 > US$460 B 43
FIGURE 15: SEMICONDUCTOR CHIP MANUFACTURING REGIONS OF THE WORLD 44
FIGURE 16: 3-MONTH AVERAGE SEMICONDUCTOR EQUIPMENT BILLINGS 45
FIGURE 17: OVERVIEW OF DEVICE TECHNOLOGY ROADMAP 46
FIGURE 18: EUROPE CHIP EXPANSION UPSIDE 51
FIGURE 19: TECHCET WAFER START FORECAST BY NODE 53
FIGURE 20: TECHCET WAFER START FORECAST BY LOGIC NODE 54
FIGURE 21: GLOBAL SEMICONDUCTOR MATERIALS OUTLOOK 55
FIGURE 22: FORECASTS – WAFER STARTS 2018 TO 2026 60
FIGURE 23: FORECASTS – WAFER STARTS LOGIC 300MM 61
FIGURE 24: FORECASTS – WAFER STARTS DRAM 300MM 62
FIGURE 25: ANNUAL WAFER STARTS (MILLIONS OF 200MM EQUIVALENT / YEAR) 63
FIGURE 26: ZIRCONIUM METAL DEMAND 2021 1.6 MILLION TONNES 66
FIGURE 27: HAFNIUM METAL DEMAND 2021 78 TONNES 66
FIGURE 28: 3DNAND STRUCTURE 67
FIGURE 29: MO PRECURSORS 68
FIGURE 30: W USAGE PER WAFER STARTS 70
FIGURE 31: ANNUAL WAFER STARTS (MILLIONS OF 200MM EQUIVALENT / YEAR) 71
FIGURE 32: ALD DIELECTRICS FOR PHOTOLITHOGRAPHY IP 72
FIGURE 33: DEVICE ARCHITECTURES 73
FIGURE 34: DEVICE ROADMAPS 74
FIGURE 35: IMEC 2021 LOGIC ROADMAP 75
FIGURE 36: SCALING AND LITHOGRAPHY TRENDS 76
FIGURE 37: IMEC 2021 LOGIC ROADMAP 76
FIGURE 38: GENERAL SPACER PROCESS FLOW 83
FIGURE 39: PRECURSORS FOR SPACE DEFINED MULTIPATTERING 81
FIGURE 40: POWER DEVICE APPLICATION OVERVIEW 84
FIGURE 41: POWER MOSFET STRUCTURE 85
FIGURE 42: POWER DEVICE TRADEOFFS 85
FIGURE 43: MARKET SHARE EPI MOCVD EQUIP. TOTAL AVAILABLE MARKET-
US$700 M 88
FIGURE 44: 20 NM LINE/SPACE PATTERN FOR EUV METAL RESIST 90
FIGURE 45: 2021 METAL PRECURSOR MARKET SHARE 92
FIGURE 46: TOTAL PRECURSOR MARKETS REGIONAL 2021 USD 1.4 BILLION 93
FIGURE 47: ENVIRONMENTAL FOOTPRINT OF MOORES LAW 106
FIGURE 48: SEGMENTATION OF THE AMPOULE FLEER 2020 BASED ON
NUMBER OF UNITS IN THE FIELD 107
FIGURE 49: TOTAL PRECURSOR MARKET (M USD) 110
FIGURE 50: CVD/ALD METAL & HIGH-K PRECURSOR REVENUE
2020 TO 2026 (MUSD) 111
FIGURE 51: CVD/ALD METAL & HIGH-K PRECURSOR REVENUE
2020 TO 2026 (MUSD) 112
FIGURE 52: CVD/ALD METAL & HIGH-K PRECURSOR REVENUE
2020 TO 2026 (MUSD) 113
FIGURE 53: WF6 SUPPLY VS. DEMAND THROUGH 2023 116
FIGURE 54: WF6 SUPPLY VS. DEMAND THROUGH 2025 117
FIGURE 55: UMICORE CO AND PT PRECURSORS 119
FIGURE 56: ECEM PRODUCT OFFERINGS 120
FIGURE 57: TOTAL MARKET METAL & HIGH-K PRECURSOR MARKET SHARE
2021 (U$$742 M) 123
FIGURE 58: GLOBAL ADVANCED PRECURSOR MARKET 2021 (USD) 124
FIGURE 59: SEMICONDUCTOR EQUIPMENT SEGMENTATION 125
FIGURE 60: SEMICONDUCTOR EQUIPMENT FORECAST 126
FIGURE 61: SEMICONDUCTOR MARKET SIZE AND OUTLOOK 127
FIGURE 62: SEMICONDUCTOR EQUIPMENT FORECAST 127
FIGURE 63: DEPOSITION SEGMENTS (USD BILLION) 128
FIGURE 64: TYPICAL NON-HALIDE LIGANDS USED FOR ALD PRECURSORS 131
FIGURE 63: WORLDWIDE TUNGSTEN PRODUCTION AND RESERVES 132
FIGURE 66: TUNGSTEN USE BY INDUSTRY (TECHCET ESTIMATE 2020) 133
FIGURE 67: SYSTEM DEFINITION OF THE GLOBAL ANTHROPOGENIC COBALT
CYCLE AND MODELING FRAMEWORK FOR COBALT DEMAND AND
SECONDARY SUPPLY POTENTIALS. 137

LIST OF TABLES

TABLE 1: PRECURSOR MARKET GROWTH OVERVIEW 12
TABLE 2: PRECURSOR MARKET SIZE 13
TABLE 3: INDUSTRY AND ECONOMIC SUMMARY 16
TABLE 4: GLOBAL GDP AND SEMICONDUCTOR REVENUES* 31
TABLE 5: IMF ECONOMIC OUTLOOK* 32
TABLE 6: DATA CENTER SYSTEMS AND COMMUNICATION SERVICES FORECAST 2021 41
TABLE 7: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL 77
TABLE 8: DRAM PRECURSOR APPLICATIONS 78
TABLE 9: 3DNAND PRECURSOR APPLICATIONS 79
TABLE 10: LOGIC PRECURSOR APPLICATIONS 80
TABLE 11: GENERAL PRECURSOR TRENDS AND OPPORTUNITIES BY DEVICE TYPE 82
TABLE 12: MOCVD APPLICATIONS – EPI DEPOSITION ON SUBSTRATE 87
TABLE 13: MOCVD EQUIPMENT VENDORS 88
TABLE 14: REGIONAL PRECURSOR TRENDS 91
TABLE 15: PRECURSOR MARKET SIZE BY REGION 93
TABLE 16: REGIONAL PRECURSOR MARKETS 94
TABLE 17: REGIONAL WAFER MARKETS 95
TABLE 18: PRECURSOR MARKET SIZE 110
TABLE 19: M&A ACTIVITIES 115


[보도 자료]

티어 1과 티어 2의 CVD/ALD 전구체 공급자에게 새로운 기회가 있는가?

세계 전구체 시장은 2025년까지 17억 달러(Techcet 예측)

Are There New Opptortunities for Tier 1 and Tier 2 CVD/ ALD Precursor Suppliers?

Precursors global market is expected to be US$1.7B by 2025.

San Diego, CA, June 29, 2021:  TECHCET—the electronic materials advisory firm providing business and technology information— announced announced that there are several new opportunities in the wake of the Pandemic and unresolved Global trade issues for both Tier 1 and Tier 2 suppliers.

  • Global fab expansions drive demand and R&D investments
  • Continued M&A by Tier 1 suppliers reduce the number of local Tier 2 suppliers, opening up opportunities for start-up activities and smaller entities.
  • Continued device design shrink requires the introduction of higher conductivity metals compatible with contact, local interconnects, and BEOL – Mo, Ru, and Ir.
  • Continued focus on new lithography and patterning technologies opens new application for dry resist and new hard mask materials to support EUV.
  • Multi Patterning development also continues, in search of lower temperature deposition materials.
ALD/ High K Metal Precursors - Techcet

CVD/ALD Metal and High-k Precursors totaled US$624 million in 2020 and is expected to grow 12% in 2021 to US$710M, as highlighted in TECHCET’s Critical Materials Reports™ on ALD/ High K Metal Precursors and Dielectric Precursors. The 5-year CAGR (2020-2025) is estimated to be 8.0%. The key drivers for growth for various segments are:

  • High-κ precursors – DRAM (90 nm and below)
  • HKMG: Logic (45 nm and below),
  • Tungsten (W): 3DNAND

The highest revenue growth will occur in metal precursors that include:

  • Cobalt in Logic
  • Ruthenium in Logic, if implemented in high volume manufacturing
  • Hafnium in Memory (DRAM and emerging NVM)
  • Lanthanum for HKMG

Advanced Dielectric Precursors totaled US$549 M for 2020 and is expected to grow 14% in 2021 to US$624M. The 5-year CAGR (2020-2025) is estimated to be 6.1%. The highest revenue growth will occur in dielectric precursors that support multi-patterning and newer applications areas including EUV, as follows:

  • DIPAS/BDEAS precursors: Logic and DRAM patterning (SADP, SAQP)
  • TSA: for 3DNAND and potentially also for EUV dry resist (SiN PEALD) in competition with a new precursor based on diiodosilane

This year, STREM was acquired by Ascensus Specialties. M&A activity of large companies is often focused on growing current product lines much to the neglect of R&D activities. Many large companies focus on acquisition to bring in new technology. TECHCET has seen an increase in consulting requests on the ALD/CVD market from potential new entrants.


    주문/문의폼

    • 리포트 제목은 자동으로 입력됩니다.

    • *항목은 필수항목입니다.

    의뢰분류*

    성함*

    회사명*

    부서명

    이메일*

    전화번호

    저희 사이트를 알게 된 경로를 가르쳐 주세요.

    문의 내용*

     

    ※개인정보보호정책은여기에서 확인 가능합니다。

    Email 문의도 받고 있습니다.
    아래 주소이며 죄송하지만 "(at)"을 "@"로 바꾸어 보내주시길 부탁드립니다.
    mooneui(at)chosareport-korea.com